Samsung Foundry anuncia GAA Ready, 3nm en 2022, 2nm en 2025, Otros nodos especiales


el Smart Monitor M8 ofrece espacio y eficiencia en el trabajo en un diseño ultraelegante, un líder mundial en tecnología avanzada de semiconductores, today unveiled plans for continuous process technology migration to 3- and 2-nanometer (Nuevo Méjico) based on the company’s Gate-All-Around (AGA) transistor structure at its 5th annual Samsung Foundry Forum (SFF) 2021. With a theme ofAdding One More Dimension,” the multi-day virtual event is expected to draw over 2,000 global customers and partners. At this year’s event, Samsung will share its vision to bolster its leadership in the rapidly evolving foundry market by taking each respective part of foundry business to the next level: process technology, manufacturing operations, and foundry services.

We will increase our overall production capacity and lead the most advanced technologies while taking silicon scaling a step further and continuing technological innovation by application,” dijo el Dr.. Siyoung Choi, President and Head of Foundry Business at Samsung Electronics. “Amid further digitalization prompted by the COVID-19 pandemic, our customers and partners will discover the limitless potential of silicon implementation for delivering the right technology at the right time.

GAA is ready for customersadoption – 3 nm Mass Production in 2022, 2 nm en 2025
With its enhanced power, performance and flexible design capability, Samsung’s unique GAA technology, Multi-Bridge-Channel FET (MBCFETTM), is essential for continuing process migration. Samsung’s first 3 nm GAA process node utilizing MBCFET will allow up to 35 percent decrease in area, 30 percent higher performance or 50 percent lower power consumption compared to the 5 proceso nm. In addition to power, performance, and area (PPA) mejoras, as its process maturity has increased, 3 nm’s logic yield is approaching a similar level to the 4 proceso nm, which is currently in mass production.

Samsung is scheduled to start producing its customers’ first 3 nm-based chip designs in the first half of 2022, while its second generation of 3nm is expected in 2023. Newly added to Samsung’s technology roadmap, la 2 nm process node with MBCFET is in the early stages of development with mass production in 2025.

FinFET for CIS, DDI, UCM – 17 nm specialty process technology debuts

Samsung Foundry is continuously improving its FinFET process technology to support specialty products with cost-effective and application-specific competitiveness. A good example of this is the company’s 17 nm FinFET process node. In addition to the intrinsic benefits afforded by FinFET, the process node has excellent performance and power efficiency leveraging a 3D transistor architecture. Consequently, Samsung’s 17 nm FinFET provides up to 43 percent decrease in area, 39 percent higher performance, o un 49 percent increase in power efficiency compared to the 28 proceso nm.

Además, Samsung is advancing its 14 nm process in order to support 3.3 V high voltage or flash-type embedded MRAM (eMRAM) which enables increased write speed and density. It will be a great option for applications such as micro controller unit (UCM), IoT and wearables. Samsung’s 8 nm radio frequency (RF) platform is expected to expand the company’s leadership in the 5G semiconductor market from sub-6 GHz to mmWave applications.

Mirando hacia el futuro, en cooperación con sus socios del ecosistema, Samsung Foundry’s SAFE Forum will be held virtually in November 2021.